Package home | Report new bug | New search | Development Roadmap Status: Open | Feedback | All | Closed Since Version 0.11.4

Bug #15005 No output for date/time-formats (broken unit tests)
Submitted: 2008-11-12 15:26 UTC
From: petermueller Assigned: stelianm
Status: Assigned Package: I18Nv2
PHP Version: 5.2.3 OS: Linux
Roadmaps: (Not assigned)    
Subscription  


 [2008-11-12 15:26 UTC] petermueller (Peter Mueller)
Description: ------------ The date and time-methods don't produce any output. Notices: dateFormats[], timeFormats[]-Arrays are not initialized. Test script: --------------- $locale = I18Nv2::createLocale('de_DE'); echo $locale->formatDate(time()); Expected result: ---------------- (Date formated with German locale.) Actual result: -------------- (nothing)

Comments

 [2008-11-17 00:54 UTC] doconnor (Daniel O'Connor)
I get Notice: Undefined index: short in /home/clockwerx/pear/I18Nv2/Locale.php on line 380 Call Stack: 0.0005 59656 1. {main}() /home/clockwerx/pear/bug-15005.php:0 0.0034 139316 2. I18Nv2::createLocale() /home/clockwerx/pear/bug-15005.php:4 0.0465 524096 3. I18Nv2_Locale->I18Nv2_Locale() /usr/share/php/I18Nv2.php:177 0.0465 524296 4. I18Nv2_Locale->setLocale() /home/clockwerx/pear/I18Nv2/Locale.php:217 0.0466 524496 5. I18Nv2_Locale->initialize() /home/clockwerx/pear/I18Nv2/Locale.php:246 and a whole lot of others. If the data isn't in an array some where, this should produce a meaningful warning, rather than an E_NOTICE
 [2009-02-07 19:47 UTC] doconnor (Daniel O'Connor)
-Summary: No output for date/time-formats +Summary: No output for date/time-formats (broken unit tests)
 [2010-04-25 19:48 UTC] doconnor (Daniel O'Connor)
php -c ../php.ini -f /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php PHPUnit 3.4.10 by Sebastian Bergmann. F..PEAR_Error: Date format "full" doesn't exist. FPEAR_Error: Time format "full" doesn't exist. FFFFFF.... Time: 0 seconds, Memory: 8.50Mb There were 8 failures: 1) I18Nv2_LocaleTest::testsetLocale Failed asserting that <boolean:false> is true. /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:47 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 2) I18Nv2_LocaleTest::testsetDateFormat Failed asserting that <boolean:true> is false. /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:77 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 3) I18Nv2_LocaleTest::testsetTimeFormat Failed asserting that <boolean:true> is false. /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:87 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 4) I18Nv2_LocaleTest::testsetCustomFormat Failed asserting that <integer:1> is true. /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:101 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 5) I18Nv2_LocaleTest::testformatCurrency Failed asserting that two strings are equal. --- Expected +++ Actual @@ @@ -EUR 2.000,00 +(2,000) /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:112 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 6) I18Nv2_LocaleTest::testformatNumber Failed asserting that two strings are equal. --- Expected +++ Actual @@ @@ -2.13 +2 /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:123 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 7) I18Nv2_LocaleTest::testformatDate Failed asserting that two strings are equal. --- Expected +++ Actual @@ @@ -25-Apr-2010 + /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:133 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 8) I18Nv2_LocaleTest::testformatTime Failed asserting that two strings are equal. --- Expected +++ Actual @@ @@ -16:10:20 + /home/clockwerx/packages-all/I18Nv2/tests/I18Nv2_LocaleTest.php:143 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:15 /home/clockwerx/packages-all/I18Nv2/tests/AllTests.php:30 FAILURES! Tests: 14, Assertions: 17, Failures: 8.
 [2011-08-19 19:15 UTC] stelianm (Stelian Mocanita)
-Status: Verified +Status: Assigned -Assigned To: +Assigned To: stelianm
Assigned and started working on.